--------------------------------------------------------------------------- -- electronicLock.vhd --------------------------------------------------------------------------- -- Use this VHDL source file as the starting point for you VHDL -- implementation of the electronic lock problem. -- -- You should NOT change the entity statement. -- -- The system for this problem consists of this file, the -- electronicLockSystem.vhd file, and the switchDebouncer.vhd file -- ElectronicLockSystem is the top-level module for this project. --------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity electronicLock is port ( clk : in std_logic; t : in std_logic; k : in std_logic; lock : in std_logic; unlock : in std_logic; locked : out std_logic; unlocked : out std_logic; ignoringInputs : out std_logic); end electronicLock; architecture stateMachine of electronicLock is begin end stateMachine;